Компанії

Intel анонсувала техпроцес Intel 14A

0

Компанія Intel оприлюднила нові плани з освоєння передових техпроцесів. У тому числі компанія анонсувала 1,4-нм техпроцес Intel 14A, який стане першою у світі технологією виробництва чіпів з використанням літографії в наджорсткому ультрафіолеті з високою числовою апертурою (High-NA EUV). Крім цього, було анонсовано доповнення до представлених раніше планів із запуску техпроцесів.

Початковий план генерального директора Intel Пета Гелсінгера (Pat Gelsinger), представлений у 2022 році, який мав на увазі освоєння п’яти техпроцесів за чотири роки, залишається чинним. Техпроцеси Intel 7 та Intel 4 вже представлені на ринку, а Intel 3 готовий до великосерійного виробництва. Розробка техпроцесів Intel 20A (2 нм) та 18A (1,8 нм) йде за планом або навіть випереджає його. Керівництво компанії очікує, що Intel поверне собі лідерство у сфері передових напівпровідників із запуском Intel 18A у 2025 році.

Intel вже надала партнерам інструменти для проєктування чіпів під техпроцес 18A у версії PDK 0.9, а фінальна версія інструментів PDK 1.0 з’явиться у квітні чи травні. Крім того, Intel вже завершила проєктування серверних процесорів Xeon Clearwater Forest, тобто, вони фактично готові до виробництва. Clearwater Forest стане першим великосерійним чіпом, виконаним за техпроцесом Intel 18A.

Розширений план освоєння технологічних процесів Intel включає новий Intel 14A, а також кілька спеціалізованих версій, представлених раніше техпроцесів. Компанія поки не розкриває цільові показники продуктивності та щільності для 14A, заявляючи, що поки не хоче ставити конкурентів до відома. Відомо, що 1,4-нм чіпи Intel будуть оснащені системою живлення наступного покоління PowerVia (ймовірно, Source-on-Contact) та транзисторами RibbonFET GAA. У планах Intel значаться два різновиди 14A: стандартна 14A і подальша покращена версія 14A-E, де буква E означає розширення можливостей. Це частина нового підходу Intel до створення різних модифікацій існуючих техпроцесів для продовження їх життєвого циклу, як у TSMC та Samsung.

Intel поки не називає точних дат, але відомо, що техпроцес 14A-E буде запущений у тестове виробництво в 2027 році. Відповідно можна припустити, що 14A з’явиться у 2026 році, як мінімум у тестовому вигляді, а до 2027-го дістанеться масового виробництва. Як і інші передові техпроцеси Intel, новий 14A розроблятиметься в Орегоні, а потім масово впроваджуватиметься на інших підприємствах.

Зазначимо, що TSMC, за неофіційними даними, почне використовувати High-NA EUV тільки до 2030 року, тобто помітно пізніше за Intel. Однак це не означає, що вона автоматично відстане у технологічному плані. Технологія High-NA не буде дешевою, і, згідно з галузевими повідомленнями, вона не така ефективна, як технологія Low-NA EUV з подвійним шаблонуванням. У Intel впевнені, що вартість виробництва чіпів відповідатиме її очікуванням, але також зазначають, що за необхідності скоригують стратегію.

Ще Intel розширить свої техпроцеси Intel 18A, Intel 3, Intel 7 та Intel 16 новими версіями. Intel планує запускати нові техпроцеси кожні два роки, а потім доповнювати їх розширеннями кожні два роки. Додаткові техпроцеси позначатимуться суфіксами. Літера P вказуватиме на нову версію технології з покращеною продуктивністю. Суфікс T вкаже на техпроцеси, що оснащені підтримкою з’єднання TSV (Through-silicon via), які можуть використовуватися в системах з упаковкою 3D Foveros. Суфікс E вказуватиме на спеціалізовані нові функції, наприклад, діапазон напруги, що настроюється. Intel також запустить техпроцеси PT, в яких буде підвищена продуктивність, і реалізована підтримка TSV, а згодом, ймовірно, з’являться й інші комбіновані рішення.

Найближчим часом Intel також запустить техпроцес Intel 12, який стане результатом виробничого співробітництва з UMC. Ще зазначається, що Intel Foundry випускатиме чіпи за зрілою 65-нм технологією за допомогою Tower Semiconductor. Обидві ці співпраці мають ключове значення для подальшого розширення масштабів Intel Foundry, дозволяючи компанії отримувати більше вигоди з обладнання, що вже окупило себе, і виробничих потужностей — вони будуть зайняті справою, а не простоювати.

Техпроцеси Intel 20A та Intel 18A запропонують транзистори GAA та підведення живлення зі зворотного боку кремнієвої пластини (BSPDN). Причому остання з технологій буде реалізована на два роки раніше за TSMC, та й по впровадженню GAA компанія Intel обжене тайванського виробника на 1,5 року. Звичайно, це зовсім не означає розгром TSMC — Samsung реалізувала GAA ще раніше, але труднощі з масовим виробництвом не дозволили реалізувати перевагу. Проте, як мінімум з технологічної точки зору Intel буде попереду, а це буде гарною підмогою для реалізації її амбіцій на ринку контрактного виробництва чіпів.

Найважливішим для компанії є техпроцес Intel 18A. І компанія вже зібрала чотири великі замовлення на виробництво чіпів за даною технологією, і один з них включає велику передоплату, що означає, що йдеться про дуже значну кількість чіпів. Ще сьогодні компанія Microsoft оголосила, що замовить Intel виробництво своїх чіпів по 1,8-нм техпроцесу. Додамо, що Intel досягла успіху в просуванні техпроцесів Intel 16 і Intel 3, а також уклала великі угоди на послуги з упаковки чіпів.

Comments

Comments are closed.